Controlled polymer nanostructures by alternative lithography

The main goal pursued in this thesis is to investigate the role of organometallic polymers in bottom-up and top-down nanofabrication techniques to provide patterned platforms.

The thesis present a broad overview on existing lithography techniques and the different materials used in these techniques.
A method on how to fabricate porous structures on silicon substrates by using colloidal particle arrays as a template and PFS as an etch mask is presented in Chapter 3. Pores in silicon substrates with submicron sizes and hexagonal close packed order were fabricated by nanosphere lithography. Silica nanoparticles of different sizes were used as starting materials and poly(ferrocenylmethylphenylsilane) (PFMPS) as an etch-resistant polymer to produce a negative replica of the nanoparticle array. The patterned silicon substrate was subsequently used as a master to replicate the nanoparticle array by using NIL. The size of the pores in the silicon substrate was controlled by changing the size of the particles. It was also shown that the size and shape of the pores can be controlled by changing the etching time.
Chapter 4 describes the process on how to obtain free-standing poly(ethersulfone) (PES) films exhibiting regular arrays of circular holes with a high porosity. The combination of NSL lithography and a mask transfer technique used in this process allows the fabrication of uniform, nanoporous PES membranes. RIE resistant poly(ferrocenylmethylphenylsilane) (PFMPS) was used to fill the pores among the tightly packed silica particles. During pattern transfer of the silica spheres to the PES, the top layer of PFMPS was removed first, exposing the unprotected silica spheres. Following removal of the spheres by HF, a negative (hollow) pattern of the colloidal particles was formed in the PFMPS layer. In RIE, the PES film was perforated in unprotected areas corresponding to transfer of the projection pattern of the silica spheres. Detachment of the PES membrane from the substrate was achieved by dissolving the sacrificial CA layer in acetone. The PES membranes exhibiting dense, highly ordered pores can serve as a platform for size-selective filtration of particles.
The application of PFMPS as a resist in nanoimprint lithography is introduced in Chapter 5. Stable, homogeneous high molar mass PFMPS films obtained by spin coating were imprinted and the resulting patterns were further transferred into the substrate by reactive ion etching. Decreasing the initial film thickness and tuning the reactive ion etching conditions facilitated the residual layer removal and improved the pattern transfer. In order to obtain high aspect ratios, the residual layer was completely removed by Ar sputtering since direct etching without removal of the residual layer gave rise to oxide layer formation, which prevented further pattern transfer. Overall, it was shown that PFMPS, because of its excellent etch contrast vs silicon, can be used as a single step resist since there is no need for metal lift-off, which renders this approach a low-cost and potentially high-throughput process.
Chapter 6 describes the development of a UV-NIL process with a bilayer system, one of which is PFMPS. The organometallic polymer PFMPS was spin-coated onto a UV-NIL patterned substrate, followed by an argon plasma treatment to expose the imprint material. Removal of the imprint material with oxygen plasma gave rise to PFMPS patterns forming a negative replica of the template employed. Pattern transfer into silicon substrates was accomplished by the use of a CHF3/SF6/O2 plasma. Variations of the plasma composition led to different etch profiles. The fabrication of polymeric structures with lateral dimensions down to 30 nm and aspect ratios of up to 3 was demonstrated. This process offers the possibility for combining the advantages of UV-NIL with the high etch resistance of poly(ferrocenylsilanes) to produce feature sizes down to the sub-100 nm range.
In Chapter 7 the preparation of polymer brush patterns by combining SFIL and SIP techniques is reported. Patterns created by SFIL were further used for anchoring SAMs of an initiator for performing SIP. The method allowed for the creation of brush patterns of PEGMA, PS and PGMA, with sizes from several microns down to 40 nm. Such variation in domain size enabled the study of the effect of the pattern size on the polymer brush height. It was shown that nanosize domains exhibit a significantly reduced height. Several interesting perspectives of patterned PEGMA brushes were demonstrated. PEGMA hydroxy groups were converted into carboxylic acid groups and the pH response of these functionalized PEGMA layers was analyzed by varying the pH. The fabricated structurally robust and functional patterned PEGMA polymeric nanostructures were used for protein immobilization. Streptavidin/biotin were selectively coupled on the activated PEGMA brush patterns.
Chapter 8 deals with the synthesis and symmetry, pattern quality and correlation as a function of the primary structure of PS-b-PFS block copolymers. The effects of thickness, annealing time, molar mass, block volume fraction, and polydispersity on the microdomain size distribution, period and correlation length of thin films of spherical-morphology PS-b-PFS block copolymers were investigated. For a series of block copolymer films of the same thickness, annealed under identical conditions, the volume fraction was found to have the greatest effect on PS-b-PFS morphology, with the period and its standard deviation all increasing with the volume fraction of the PFS. On the other hand polydispersity had little effect on the size distribution and period of the microdomains.Organometallic polymers are very attractive materials which could be further explored for other possible applications in lithography. Further research on controlled patterning of PFS block copolymers by means of UV-NIL lithography can be carried out to study the influence of confinement on block copolymer assembly. In addition, PFS block copolymers could be utilized to pattern polymer surfaces down to 20 nm by carefully designing the processes like etching, annealing, and deposition of materials. The results presented in Chapter 7 illustrate the fabrication of polymeric platforms which were further used for protein immobilization. The same approach could be performed for the covalent attachment of CdSe/ZnS nano-crystals at the brush chain ends. In this case, the confinement and patterning of nano-crystals could be studied. The results presented in this thesis show that polymers provide a variety of structures and dimensions, when patterned by bottom-up and top-down micro- and nanofabrication techniques. The incorporation of organometallic units into the main chain of polymers improves the properties such as etch resistivity, and thus applicability of these macromolecules. Metal-containing polymers are proven to have high etch-resistant properties when applied in NIL, SFIL and NSL techniques. They appear to be valuable candidates for developing new resists formulations. The fabricated structures by using these techniques could be employed in areas such as data storage, microelectronics and bioelectronics.